systemverilog

Programinė įranga Screenshot:
systemverilog
Programinė detalės:
Versija: 1.3
Įkėlimo datą: 2 Jun 15
Kūrėjas: Aditya Kher
Licencija: Nemokamai
Populiarumas: 25

Rating: 4.3/5 (Total Votes: 3)

systemverilog yra Vim įskiepiai, kuri siūlo sintaksės už SystemVerilog.
IEEE 1800 SystemVerilog yra pirmasis pramonėje vieningą aparatūros aprašymas ir tikrinimas kalba (HDVL) standartas. SystemVerilog yra pagrindinis išplėtimas nustatyta IEEE 1364 Verilog kalba.
Apie Vim:
Vim teksto redaktoriumi pirmą kartą išleista Bram Moolenaar 1991 Amiga kompiuterio. Vim buvo sukurta kaip ilgą versiją vi redaktorius, su daug papildomų funkcijų, būti naudinga redagavimo programos kodo; jos pilnas pavadinimas yra Vi pagerėjo.
Nors Vim yra cross-platform, tai populiariausias Unix operacinių sistemų.
Išleistas pagal programinės įrangos licencija suderinama su GNU General Public License, Vim yra nemokama ir atviro kodo programinė įranga. Programos licencija apima keletą charityware sąlygos.
Kaip VI, vim sąsaja yra pagrįsta ne meniu arba piktogramos, bet komandų pateiktus teksto vartotojo sąsaja; jos GUI, gvim, priduria meniu ir įrankių juostas už dažniausiai naudojamų komandų, tačiau visos funkcijos vis dar išreiškė per savo komandinės eilutės režimu.
Daugeliui vartotojų mokymosi Vim gali būti sunkiai ir lėtai iš pradžių, bet kai pagrindai yra suprantama jiems išsivysto greitai ir redagavimas tampa efektyvesnis. Siekiant palengvinti šį procesą, Vim yra built-in pamoka pradedantiesiems. Taip pat yra vim Vartotojų Rankinis kad Išsamiau pagrindinius ir daugiau pažangių funkcijų, vim. Šį vadovą galima skaityti iš vidaus Vim, arba rasti internete.
Vim taip pat turi įmontuotą pagalbos priemonę (naudojant: Padėkite komandą), kuri leidžia vartotojams atlikti paiešką ir naršyti komandų ir funkcijų.
ĮRENGIMAS:
1 žingsnis: lašas į ~ / .vim / sintaksė failą (jei katalogas neegzistuoja tada ją sukurti) Pastaba: Jei naudojate vim 7.0 arba naujesnę, galite įsigyti SV.vba į autoinstall ją nepriklausomai nuo skonio veiklos sistemą Jūs naudojate VIM toliau!
2 žingsnis: Pridėkite po linijų ~ / .vim / filetype.vim
jei egzistuoja ("did_load_filetypes")
          apdaila
        endif
        augroup filetypedetect
          Au! BufRead, BufNewFile * .sv setfiletype S.
          Au! BufRead, BufNewFile * .svi setfiletype S.
        augroup PABAIGA

Reikalavimai

  • Vim,
  • Vimball

Panaši programinė įranga

pac
pac

2 Jun 15

oh-my-vim
oh-my-vim

14 Apr 15

fuzzyfinder
fuzzyfinder

2 Jun 15

Kita programinė įranga kūrėjas Aditya Kher

upf
upf

3 Jun 15

Komentarai systemverilog

Komentarai nerastas
Pridėti komentarą
Pasukite ant paveikslėlio!